blob: 78cc850d4cdb5ec7d8028a7e3407d857324f58c0 [file] [log] [blame]
const int16_t src_int16_1_3_1641_5000_fir[48] = {
-7,
5,
42,
95,
118,
51,
-136,
-393,
-563,
-445,
79,
900,
1632,
1723,
750,
-1249,
-3563,
-4921,
-3944,
215,
7318,
15901,
23660,
28268,
28268,
23660,
15901,
7318,
215,
-3944,
-4921,
-3563,
-1249,
750,
1723,
1632,
900,
79,
-445,
-563,
-393,
-136,
51,
118,
95,
42,
5,
-7
};
struct src_stage src_int16_1_3_1641_5000 = {
1, 0, 1, 48, 48, 3, 1, 0, 2,
src_int16_1_3_1641_5000_fir};