blob: 9f90354a90f2c42714a2e465973311f5a3da5db2 [file] [log] [blame]
(module
(type $none_=>_none (func))
(func $0 (type $none_=>_none)
(if
(i32.const 1)
(unreachable)
)
)
(func $1 (type $none_=>_none)
(if
(i32.const 1)
(unreachable)
(unreachable)
)
)
(func $2 (type $none_=>_none)
(if
(i32.const 1)
(unreachable)
)
)
(func $3 (type $none_=>_none)
(if
(i32.const 1)
(unreachable)
(unreachable)
)
)
(func $4 (type $none_=>_none)
(nop)
(if
(i32.const 1)
(unreachable)
)
(nop)
)
(func $5 (type $none_=>_none)
(nop)
(if
(i32.const 1)
(unreachable)
(unreachable)
)
)
(func $6 (type $none_=>_none)
(nop)
(if
(i32.const 1)
(unreachable)
)
(nop)
)
(func $7 (type $none_=>_none)
(nop)
(if
(i32.const 1)
(unreachable)
(unreachable)
)
)
(func $8 (type $none_=>_none)
(nop)
(block $label$1
(nop)
(br $label$1)
)
(nop)
)
(func $9 (type $none_=>_none)
(block $label$1
(nop)
(block $label$2
(nop)
(br $label$1)
)
)
)
)