blob: e68e8c153e88d10d8a40e44f318ab3f31e9787a0 [file] [log] [blame]
(module
(type $0 (func))
(memory $0 0)
(func $0 (type $0)
(if
(i32.const 0)
(block $label$0
(block $label$1
(br_table $label$1
(i32.const 0)
)
)
)
)
)
)