blob: bd09d1dd8e14d66565ddc21c4095ec6f28d39f5d [file] [log] [blame]
(module
(type $0 (func))
(memory $0 0)
(func $0 (; 0 ;) (type $0)
(if
(i32.const 0)
(block $label$2
(br_table $label$2
(i32.const 0)
)
)
)
)
)