blob: 0bf75cc8bab28853ebb44710250d8657687fb316 [file] [log] [blame]
(module
(type $0 (func (param i32 i32) (result i32)))
(memory $0 256 256)
(export "add" (func $0))
(func $0 (type $0) (param $var$0 i32) (param $var$1 i32) (result i32)
(block $binaryen|break-to-return (result i32)
(br $binaryen|break-to-return
(i32.add
(get_local $var$0)
(get_local $var$1)
)
)
)
)
)